博客
关于我
强烈建议你试试无所不能的chatGPT,快点击我
【Verilog_12】: 设计一个7.5分频的分频器,不能使用PLL
阅读量:3943 次
发布时间:2019-05-24

本文共 1644 字,大约阅读时间需要 5 分钟。

设计一个7.5分频的分频器,不能使用PLL

法一

author : Mr.Maoe-mail : 2458682080@qq.commodule Fre_div_decimal(clk, rst, div_out, count, clkN, clkP);  input clk, rst ;    output div_out, clkN, clkP ;    output [4:0] count ;		  reg [4:0] count;    wire [4:0] shift_count; //移位计数    reg clkP,clkN;  assign shift_count = 5'b00001;  always @ (posedge clk or negedge rst)  begin  			if(!rst)  count <= shift_count ;							else      count <= {count[3:0], count[4]} ;  //实现移位计数(左移)00001》00010》00100》01000》10000》00001					  end      always @ (negedge clk or negedge rst)  begin  		  if(!rst)                                           clkN <= 1'b0 ;						  else if(count == 5'b01000 || count == 5'b10000)    clkN <= 1'b1 ;				 		  else                                               clkN <= 1'b0 ;    end      always @ (posedge clk or negedge rst)  begin  			if(!rst)                                          clkP <= 1'b0 ;							else if(count == 5'b00001 || count == 5'b00010)   clkP <= 1'b1 ;				 			else                                              clkP <= 1'b0 ;    end  assign div_out = clkP | clkN ;endmodule

法二

author : Mr.Maoe-mail : 2458682080@qq.commodule div7p5x(	input clk,	input reset_n,	output q);reg [3:0] cnt;reg x_p,x_n;always @(posedge clk,negedge reset_n)	if(!reset_n)		cnt <= 0;	else if(cnt < 15-1)		cnt <= cnt + 1'b1;	else		cnt <= 0;always @(posedge clk,negedge reset_n)			if(!reset_n)				x_p <= 0;			else  if(cnt < 15/4)				x_p <= 1;			else				x_p <= 0;always @(negedge clk,negedge reset_n)					if(!reset_n)						x_n <= 0;					else if(cnt > 15/2 && cnt <= 15/2+15/4)						x_n <= 1;					else						x_n <= 0;						assign q = x_p | x_n;													endmodule

转载地址:http://pyiwi.baihongyu.com/

你可能感兴趣的文章
spring cloud java.lang.NoClassDefFoundError: javax/servlet/http/HttpServletRequest
查看>>
Centos系统安装MySQL(整理)
查看>>
postgresql计算两点距离(经纬度地理位置)
查看>>
postgres多边形存储--解决 Points of LinearRing do not form a closed linestring
查看>>
postgresql+postgis空间数据库总结
查看>>
spring 之 Http Cache 和 Etag(转)
查看>>
基于Lucene查询原理分析Elasticsearch的性能(转)
查看>>
HttpClient请求外部服务器NoHttpResponseException
查看>>
springCloud升级到Finchley.RELEASE,SpringBoot升级到2.0.4
查看>>
Spring boot + Arthas
查看>>
omitted for duplicate jar包冲突排查
查看>>
如何保证缓存与数据库的双写一致性?
查看>>
java.lang.ArrayStoreException: sun.reflect.annotation.TypeNotPresentExceptionProxy排查
查看>>
深浅拷贝,深浅克隆clone
查看>>
Java基础零散技术(笔记)
查看>>
Mysql优化sql排查EXPLAIN EXTENDED
查看>>
线程之间数据传递ThreadLocal,InheritableThreadLocal,TransmittableThreadLocal
查看>>
spring循环依赖,解决beans in the application context form a cycle
查看>>
分布式锁的实现
查看>>
解决POJO的属性首字母为大写,但是赋值不了的问题
查看>>